DokuWiki

It's better when it's simple

ユーザ用ツール

サイト用ツール


ja:wiki:syntax

差分

このページの2つのバージョン間の差分を表示します。

この比較画面へのリンク

両方とも前のリビジョン前のリビジョン
次のリビジョン
前のリビジョン
ja:wiki:syntax [2014-06-30 11:53] – [第 3 見出し] 219.106.230.38ja:wiki:syntax [2023-11-29 23:27] (現在) – [構文のハイライト] 2400:4151:9600:7300:9e19:34c7:3bb9:bfa7
行 1: 行 1:
 +:!: **このページは、[[ja:syntax|こちらのページ]]と内容が被っています。**リンク先のページもご参照下さい。また、このページをリンク先にリダイレクトするように設定すると良いと思うのですが、どうすれば良いのでしょうか?FIXME --- [[user>hokkaidoperson|hokkaidoperson]] //2018-10-14 15:32//
 +
 ====== 整形記法 ====== ====== 整形記法 ======
  
行 129: 行 131:
 目次の生成を止めることができます。 目次の生成を止めることができます。
  
-==== 第 3 見出し====+====== 第 1 見出し ====== 
 +===== 第 2 見出し ===== 
 +==== 第 3 見出し ====
 === 第 4 見出し === === 第 4 見出し ===
 == 第 5 見出し == == 第 5 見出し ==
  
 +  ====== 第 1 見出し ======
 +  ===== 第 2 見出し =====
   ==== 第 3 見出し ====   ==== 第 3 見出し ====
   === 第 4 見出し ===   === 第 4 見出し ===
行 406: 行 412:
 </code> </code>
  
-現在のところ、次の言語がサポートされています。//4cs, abap, actionscript-french, actionscript, actionscript3, ada, apache, applescript, asm, asp, autohotkey, autoit, avisynth, awk, bash, basic4gl, bf, bibtex, blitzbasic, bnf, boo, c, c_mac, caddcl, cadlisp, cfdg, cfm, cil, clojure, cmake, cobol, cpp, cpp-qt, csharp, css, cuesheet, d, dcs, delphi, diff, div, dos, dot, eiffel, email, erlang, fo, fortran, freebasic, fsharp, gambas, genero, gdb, glsl, gml, gnuplot, groovy, gettext, haskell, hq9plus, html, idl, ini, inno, intercal, io, java5, java, javascript, jquery, kixtart, klonec, klonecpp, latex, lisp, locobasic, logtalk, lolcode, lotusformulas, lotusscript, lscript, lsl2, lua, m68k, make, mapbasic, matlab, mirc, modula3, mmix, mpasm, mxml, mysql, newlisp, nsis, oberon2, objc, ocaml-brief, ocaml, oobas, oracle8, oracle11, pascal, perl, perl6, per, php-brief, php, pike, pic16, pixelbender, plsql, povray, powerbuilder, powershell, progress, prolog, properties, providex, purebasic, python, qbasic, rails, rebol, reg, robots, rsplus, ruby, sas, scala, scheme, scilab, sdlbasic, smalltalk, smarty, sql, systemverilog, tcl, teraterm, text, thinbasic, tsql, typoscript, vbnet, vb, verilog, vhdl, vim, visualfoxpro, visualprolog, whitespace, winbatch, whois, xml, xorg_conf, xpp, z80//+現在のところ、次の言語がサポートされています。//4cs, abap, actionscript-french, actionscript, actionscript3, ada, apache, applescript, asm, asp, autohotkey, autoit, avisynth, awk, bash, basic4gl, bf, bibtex, blitzbasic, bnf, boo, c, c_mac, caddcl, cadlisp, cfdg, cfm, cil, clojure, cmake, cobol, cpp, cpp-qt, csharp, css, cuesheet, d, dcs, delphi, diff, div, dos, dot, eiffel, email, erlang, fo, fortran, freebasic, fsharp, gambas, genero, gdb, glsl, gml, gnuplot, groovy, gettext, haskell, hq9plus, html, idl, ini, inno, intercal, io, java5, java, javascript, jquery, kixtart, klonec, klonecpp, latex, lisp, locobasic, logtalk, lolcode, lotusformulas, lotusscript, lscript, lsl2, lua, m68k, make, mapbasic, matlab, mirc, modula3, mmix, mpasm, mxml, mysql, newlisp, nsis, oberon2, objc, ocaml-brief, ocaml, oobas, oracle8, oracle11, pascal, perl, perl6, per, php-brief, php, pike, pic16, pixelbender, plsql, povray, powerbuilder, powershell, progress, prolog, properties, providex, purebasic, python, qbasic, rails, rebol, reg, robots, rsplus, ruby, sas, scala, scheme, scilab, sdlbasic, smalltalk, smarty, sql, systemverilog, tcl, teraterm, text, thinbasic, tsql, typescript, vbnet, vb, verilog, vhdl, vim, visualfoxpro, visualprolog, whitespace, winbatch, whois, xml, xorg_conf, xpp, z80//
  
 ==== ダウンロード可能なコードブロック ==== ==== ダウンロード可能なコードブロック ====
行 505: 行 511:
  
 ~~INFO:syntaxplugins~~ ~~INFO:syntaxplugins~~
- 
ja/wiki/syntax.1404121997.txt.gz · 最終更新: 2014-06-30 11:53 by 219.106.230.38

特に明示されていない限り、本Wikiの内容は次のライセンスに従います: CC Attribution-Share Alike 4.0 International
CC Attribution-Share Alike 4.0 International Donate Powered by PHP Valid HTML5 Valid CSS Driven by DokuWiki